Visit Extreme DA at the 48th DAC: Discover Accelerated Timing Sign-off with New GoldX Full-Chip Extractor and Faster GoldTime Analyzer and Enter to Win a Slingbox PRO-HD

SANTA CLARA, Calif. — (BUSINESS WIRE) — June 2, 2011 — At the 48th Design Automation Conference (DAC) in San Diego, Calif., Extreme DA™ will exhibit the new full-chip parasitic extraction tool, GoldX, with 10 million nets per hour performance and the latest version of GoldTime and its sign-off timing analysis. The combination of GoldTime and GoldX delivers a 5X breakthrough in the speedup of timing closure. Besides demo suite presentations, Extreme DA will present on Tuesday, June 7 at the TSMC Open Innovation Platform™ Theater and the Exhibitor Forum.

Extreme DA in Booth 2939

  • Extreme DA will cover the latest version of GoldTime, including its TSMC qualified parametric on-chip variation (OCV) analysis in an easy-to-use flow, and introduce its new GoldX full-chip extractor.
  • Everyone who views a presentation will automatically be entered to win a Slingbox PRO-HD that lets you watch your home TV anywhere, in full HD quality.
  • Attendees who stop at both the ATopTech booth #2816 and the Extreme DA booth and view a demonstration from each company will receive a fun Timing Tiger giveaway.

Extreme DA Demo Suite Presentations

  • Extreme DA will host private meetings in its suites to showcase the new features and benefits of its new full-chip parasitic extraction tool, GoldX and the GoldTime sign-off tool and share its technology roadmap. Suite presentations may be scheduled by registering at http://extreme-da.com/DAC2011-signup.html.
  • Suite presentations will include:

Fast Sign-off Extraction for Accelerated Timing Closure
Extreme introduces new breakthrough sign-off parasitic extraction to improve turn-around-time of timing closure and ECO loops, when combined with industry's fastest overall timing solution, GoldTime. With 10 million nets per hours performance and infinite capacity, Extreme's extractor can handle your largest design in a few short hours. This technical presentation will cover this new technology solution and how easy it is to adopt into your design environment.

GoldTime POCV and 28nm Success
Extreme embarks on its third year of production sign-off statistical STA using GoldTime POCV. Conceived for those designers that won't settle for the conservatism and inaccuracies of a "one size fits all" OCV margin methodology, GoldTime POCV delivers the right margins to fit each design, while improving sign-off to silicon correlation, and reducing over-design without compromising yield. If already using, or considering AOCV, visit Extreme DA's booth and discover how POCV is qualified by TSMC and has brought silicon success for leading fabless companies.

New GoldTime Power and MM/MC Timing Optimization with SI
GoldTime power module provides quick power analysis and reporting capability from a single run of GoldTime, and can generate various power reports from VCD, SAIF, or vectorless input. GoldTime MM/MC timing analysis and optimization uses SPICE accurate SI models, simultaneous switching to reduce margins, dynamic and static voltage scaling, and POCV analysis during optimization of multiple modes and corners in one run. Combined with high-speed incremental timing in GoldTime, MM/MC optimization closes setup/hold/DRV/noise violations quickly and effectively across all modes and corners.

ROAD — New 6-Sigma Transistor-Level Statistical Optimization
ROAD provides statistical optimization of design performance at the transistor level. Targeted towards standard cell, memory and mixed signal designers, ROAD out performs traditional Monte Carlo methods by 10X or more, and now supports a new 6-sigma analysis that dramatically cuts analysis times.

Exhibitor Forum Presentation:

Essentials for Timing Sign-off: GoldTime POCV / MXO / SI
Location and Time: Exhibit Hall G - Booth #1005, Tue. June 7th, 3:00-3:35 PM

Timing closure complexity increases as designs move to 28nm, and the overall benefits of moving to an advanced node diminishes amid increasing turn-around-time (TAT) and degrading design quality. Higher capacity and faster sign-off solutions are necessary but not sufficient. Recovering the lost benefits require advanced techniques in timing sign-off combined with the ability to close timing within the same sign-off environment. The advanced techniques include Parametric-OCV, efficient MM/MC analysis, accurate dynamic and static voltage scaling, and understanding impact of simultaneous inputs switching to delay.

TSMC Open Innovation Platform™ Theater Presentation:

POCV: Next Generation OCV Timing Sign-off for 28nm
Location and Time: BOOTH #2535, 2648, Tue. June 7th, 4:15-4:25 PM

Conference Panel Participation

Parallel or Paralyzing: Is Parallel EDA Worth the Trouble?
Location and Time: Rm 33ABC, Thu. June 9th, 4:00-6:00 PM


1 | 2  Next Page »
Featured Video
Latest Blog Posts
Vijay ChobisaSiemens EDA
by Vijay Chobisa
The Rise of Custom Acceleration
Bob Smith, Executive DirectorBridging the Frontier
by Bob Smith, Executive Director
Nomination Deadline for Phil Kaufman Award and Hall of Fame: June 30
Jobs
Senior Post Silicon Hardware Engineer for Nvidia at Santa Clara, California
Design Verification Engineer for Blockwork IT at Milpitas, California
Hardware Engineer for PTEC Solutions at Fremont, California
Senior Hardware Engineer IV – CA for Ampex Data Systems Corporation at Hayward, California
Senior DPU System Application Engineer for Nvidia at Santa Clara, California
Upcoming Events
Design Automation Conference (DAC) 2024 at Moscone West, San Francisco CA - Jun 23 - 27, 2024
SemiconWest - 2024 at Moscone Center San Francisco CA - Jul 9 - 11, 2024
Flash Memory 2024 Conference & Expo FMS2024 at Santa Clara Convention Center Santa Clara CA - Aug 6 - 8, 2024



© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
AECCafe - Architectural Design and Engineering TechJobsCafe - Technical Jobs and Resumes GISCafe - Geographical Information Services  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise