Intel Breakthroughs Propel Moore’s Law Beyond 2025
[ Back ]   [ More News ]   [ Home ]
Intel Breakthroughs Propel Moore’s Law Beyond 2025

Intel targets more than 10x density improvement in packaging and 30% to 50% logic scaling improvements, and looks beyond classic silicon transistors.

SANTA CLARA, Calif. — (BUSINESS WIRE) — December 11, 2021What’s New: In its relentless pursuit of Moore’s Law, Intel is unveiling key packaging, transistor and quantum physics breakthroughs fundamental to advancing and accelerating computing well into the next decade. At IEEE International Electron Devices Meeting (IEDM) 2021, Intel outlined its path toward more than 10x interconnect density improvement in packaging with hybrid bonding, 30% to 50% area improvement in transistor scaling, major breakthroughs in new power and memory technologies, and new concepts in physics that may one day revolutionize computing.

This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20211211005006/en/

“At Intel, the research and innovation necessary for advancing Moore’s Law never stops. Our Components Research Group is sharing key research breakthroughs at IEDM 2021 in bringing revolutionary process and packaging technologies to meet the insatiable demand for powerful computing that our industry and society depend on. This is the result of our best scientists’ and engineers’ tireless work. They continue to be at the forefront of innovations for continuing Moore’s Law.”
–Robert Chau, Intel Senior Fellow and general manager of Components Research

Why It Matters: Moore’s Law has been tracking innovations in computing that meet the demands of every technology generation from mainframes to mobile phones. This evolution is continuing today as we move into a new era of computing with unlimited data and artificial intelligence.

Continuous innovation is the cornerstone of Moore’s Law. Intel’s Components Research Group is committed to innovating across three key areas: essential scaling technologies for delivering more transistors; new silicon capabilities for power and memory gains; and exploration of new concepts in physics to revolutionize the way the world does computing. Many of the innovations that broke through previous barriers of Moore’s Law and are in today’s products started with the work of Component Research – including strained silicon, Hi-K metal gates, FinFET transistors, RibbonFET, and packaging innovations including EMIB and Foveros Direct.

How We Are Doing It: The breakthroughs revealed at IEDM 2021 demonstrate Intel is on track to continue the advancement and benefits of Moore’s Law well beyond 2025 through its three areas of pathfinding.

1. Intel is pursuing significant research in essential scaling technologies for delivering more transistors in future product offerings:

2. Intel is bringing new capabilities to silicon:

3. Intel is pursuing massive performance with silicon transistor-based quantum computing, as well as entirely new switches for massively energy-efficient computing with novel room temperature devices. In the future, these revelations may replace classic MOSFET transistors by using entirely new concepts in physics:

About Components Research: Components Research, the research group of Intel Technology Development, is responsible for delivering revolutionary process and packaging technology options that extend Moore’s Law and enable Intel products and services. It keeps Intel’s research and development pipeline full by working with the company’s business units to anticipate future needs and collaborating with external groups – from U.S. government research labs and industry consortia to university research groups and suppliers.

More Context: 3D Stacked Transistors: Improving Area by Building Upward (Video) | Foveros Direct: Advanced Packaging Technology to Continue Moore’s Law (Video) | Intel's Components Research Group Invents Revolutionary Process and Package Tech (Video)

About Intel

Intel (Nasdaq: INTC) is an industry leader, creating world-changing technology that enables global progress and enriches lives. Inspired by Moore’s Law, we continuously work to advance the design and manufacturing of semiconductors to help address our customers’ greatest challenges. By embedding intelligence in the cloud, network, edge and every kind of computing device, we unleash the potential of data to transform business and society for the better. To learn more about Intel’s innovations, go to newsroom.intel.com and intel.com.

Legal Notices

All product and service plans and roadmaps are subject to change without notice. Any forecasts of goods and services needed for Intel's operations are provided for discussion purposes only. Intel will have no liability to make any purchase in connection with forecasts published in this document. Code names are often used by Intel to identify products, technologies, or services that are in development and usage may change over time. No license (express or implied, by estoppel or otherwise) to any intellectual property rights is granted by this document. Product and process performance varies by use, configuration and other factors. Learn more at www.Intel.com/PerformanceIndex and www.Intel.com/ProcessInnovation.

Reference to research results, including comparisons to technologies, products, process or package performance are estimates and do not imply availability. Release dates and/or capabilities referenced may vary by use, configuration and other factors. The products and services described may contain defects or errors which may cause deviation from published specifications. Current characterized errata are available on request. Intel disclaims all express and implied warranties, including without limitation, the implied warranties of merchantability, fitness for a particular purpose, and non-infringement, as well as any warranty arising from course of performance, course of dealing, or usage in trade.

Statements in this document that refer to future plans or expectations are forward-looking statements. These statements are based on current expectations and involve many risks and uncertainties that could cause actual results to differ materially from those expressed or implied in such statements. For more information on the factors that could cause actual results to differ materially, see our most recent earnings release and SEC filings at www.intc.com.

© Intel Corporation. Intel, the Intel logo and other Intel marks are trademarks of Intel Corporation or its subsidiaries. Other names and brands may be claimed as the property of others.



Contact:

Bruce Fienberg
1-510-220-6461
bruce.fienberg@intel.com