eBeam Initiative Survey Indicates New eBeam Expectations For Photomask Production
[ Back ]   [ More News ]   [ Home ]
eBeam Initiative Survey Indicates New eBeam Expectations For Photomask Production

Continued semiconductor scaling drives new mask design requirements; use of complex mask shapes predicted to increase

SAN JOSE, Calif., Sept. 16, 2014 — (PRNewswire) —  The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of its third annual eBeam Initiative survey52 industry luminaries representing 29 member companies from across the photomask supply chain participated in the survey. 

Respondents provided their opinions on a variety of topics critical to advanced photomask production, including the implementation of multibeam mask writing, anticipation of mask hotspots as a manufacturing challenge, new expectations for dose modulation, complex masks and slower resists, and predictions on the production implementation of extreme ultraviolet (EUV) lithography and complementary eBeam lithography (CEBL).  The complete results of the survey will be presented and discussed by an expert panel today during the eBeam Initiative's annual members meeting at the SPIE Photomask Technology Conference in Monterey, Calif., and are available for download at http://www.ebeam.org/docs/ebeam-initiative-2014-survey.pdf

"The eBeam Initiative survey has been a useful instrument in taking the pulse of the photomask ecosystem, and the feedback signals where the eBeam community can make significant contributions to advanced photomask production," stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative.  "For example, the survey results from this year show that dose modulation will be a standard practice, that multibeam-based mask writing is around the corner, and that complex mask shapes are needed.  At such an important inflection point in mask making, we're delighted to be part of a thriving eBeam community."

Select Highlights from eBeam Initiative Survey

About The eBeam Initiative

The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies.  The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem.  Members and advisors, which span the semiconductor ecosystem, include: Abeam Technologies, Advantest, Alchip Technologies, AMTC, Applied Materials, Artwork Conversion, Aselta Nanographics, Cadence Design Systems, CEA-Leti, D2S, Dai Nippon Printing, EQUIcon Software GmbH Jena, eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, Grenon Consulting, Hitachi High-Technologies, HOYA Corporation, IMS CHIPS, IMS Nanofabrication AG, JEOL, KLA-Tencor, Maglen, Mentor Graphics Corporation, Multibeam Corporation, NCS, NuFlare Technology, John Chen from NVIDIA, Petersen Advanced Lithography, Colin Harris from PMC-Sierra, Riko Radojcic from Qualcomm, Sage Design Automation, Samsung Electronics, STMicroelectronics, Synopsys, tau-Metrix, Tela Innovations, TOOL Corporation, Toppan Printing, Vistec Electron Beam GmbH, and Hugh Durdan from Xilinx.  Membership is open to all companies and institutions throughout the electronics industry.  To find out more, please visit www.ebeam.org.

SOURCE eBeam Initiative

Contact:
eBeam Initiative
David Moreno, MCA
Phone: +1-650-968-8900, ext. 125
Email Contact
Web: http://www.ebeam.org